From a48940b60367f94c50b6782d8883110b76a356e8 Mon Sep 17 00:00:00 2001 From: Adam Carpenter <53hornet@gmail.com> Date: Tue, 19 Feb 2019 16:23:08 -0500 Subject: Added adder and testing --- testing/Cargo.lock | 4 +++ testing/Cargo.toml | 7 +++++ testing/src/lib.rs | 32 +++++++++++++++++++++ testing/target/.rustc_info.json | 1 + testing/target/debug/.cargo-lock | 0 ...egration-test-integration_test-1a358058a871a1c6 | Bin 0 -> 26 bytes ...egration-test-integration_test-1a358058a871a1c6 | 1 + ...ion-test-integration_test-1a358058a871a1c6.json | 1 + .../dep-lib-testing-813a2e3b38183b9f | Bin 0 -> 11 bytes .../lib-testing-813a2e3b38183b9f | 1 + .../lib-testing-813a2e3b38183b9f.json | 1 + .../dep-test-lib-testing-e06eb924885e312d | Bin 0 -> 11 bytes .../test-lib-testing-e06eb924885e312d | 1 + .../test-lib-testing-e06eb924885e312d.json | 1 + .../debug/deps/integration_test-1a358058a871a1c6 | Bin 0 -> 4345120 bytes .../debug/deps/integration_test-1a358058a871a1c6.d | 5 ++++ .../debug/deps/libtesting-813a2e3b38183b9f.rlib | Bin 0 -> 9130 bytes .../target/debug/deps/testing-813a2e3b38183b9f.d | 5 ++++ testing/target/debug/deps/testing-e06eb924885e312d | Bin 0 -> 4347088 bytes .../target/debug/deps/testing-e06eb924885e312d.d | 5 ++++ .../1t03bhc6pzw2nd6m.o | Bin 0 -> 5744 bytes .../2psuteotuu954xoj.o | Bin 0 -> 7216 bytes .../2ym1hzdvtimvhwql.o | Bin 0 -> 12840 bytes .../364l98n50kntzl3h.o | Bin 0 -> 4792 bytes .../3qmo95huem0jp0vl.o | Bin 0 -> 9240 bytes .../3u9xgzqcte93ux9p.o | Bin 0 -> 14520 bytes .../47svy69thrkymuvc.o | Bin 0 -> 7592 bytes .../4gbiclu2mkawnr5u.o | Bin 0 -> 7984 bytes .../5fkcxe5h8f2ytj7.o | Bin 0 -> 3344 bytes .../9gxf4e6vopz3q84.o | Bin 0 -> 3528 bytes .../dep-graph.bin | Bin 0 -> 729498 bytes .../query-cache.bin | Bin 0 -> 116491 bytes .../work-products.bin | Bin 0 -> 573 bytes .../s-f9n90kyodc-1q8ky3q.lock | 0 .../141y9ktx8wlxevn4.o | Bin 0 -> 10392 bytes .../16kthln99blc7qv3.o | Bin 0 -> 5712 bytes .../1hz7ymz8vueb3o0v.o | Bin 0 -> 9208 bytes .../1zze8extmhj1inh.o | Bin 0 -> 7384 bytes .../2h6gt9o6rvpvq7re.o | Bin 0 -> 4760 bytes .../3fqxmakmjdr4865v.o | Bin 0 -> 14488 bytes .../3jssatmi8jwq2q03.o | Bin 0 -> 12808 bytes .../3lo5qhj4pbw8ykv4.o | Bin 0 -> 7960 bytes .../4cx6zvlmoqzv3ctm.o | Bin 0 -> 5416 bytes .../4wme8wac7aw04zjw.o | Bin 0 -> 3496 bytes .../5ci3hw7n3cym6x67.o | Bin 0 -> 9176 bytes .../dep-graph.bin | Bin 0 -> 770023 bytes .../query-cache.bin | Bin 0 -> 142259 bytes .../work-products.bin | Bin 0 -> 629 bytes .../testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm.lock | 0 .../2okzpkuw96q2j6ie.bc.z | Bin 0 -> 2578 bytes .../2okzpkuw96q2j6ie.o | Bin 0 -> 4672 bytes .../dep-graph.bin | Bin 0 -> 64325 bytes .../query-cache.bin | Bin 0 -> 38617 bytes .../work-products.bin | Bin 0 -> 114 bytes .../testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b.lock | 0 .../target/debug/integration_test-1a358058a871a1c6 | Bin 0 -> 4345120 bytes .../debug/integration_test-1a358058a871a1c6.d | 1 + testing/target/debug/testing-e06eb924885e312d | Bin 0 -> 4347088 bytes testing/target/debug/testing-e06eb924885e312d.d | 1 + testing/tests/integration_test.rs | 7 +++++ 60 files changed, 74 insertions(+) create mode 100644 testing/Cargo.lock create mode 100644 testing/Cargo.toml create mode 100644 testing/src/lib.rs create mode 100644 testing/target/.rustc_info.json create mode 100644 testing/target/debug/.cargo-lock create mode 100644 testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6 create mode 100644 testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6 create mode 100644 testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json create mode 100644 testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/dep-lib-testing-813a2e3b38183b9f create mode 100644 testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f create mode 100644 testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json create mode 100644 testing/target/debug/.fingerprint/testing-e06eb924885e312d/dep-test-lib-testing-e06eb924885e312d create mode 100644 testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d create mode 100644 testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json create mode 100755 testing/target/debug/deps/integration_test-1a358058a871a1c6 create mode 100644 testing/target/debug/deps/integration_test-1a358058a871a1c6.d create mode 100644 testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib create mode 100644 testing/target/debug/deps/testing-813a2e3b38183b9f.d create mode 100755 testing/target/debug/deps/testing-e06eb924885e312d create mode 100644 testing/target/debug/deps/testing-e06eb924885e312d.d create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/1t03bhc6pzw2nd6m.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2psuteotuu954xoj.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2ym1hzdvtimvhwql.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/364l98n50kntzl3h.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3qmo95huem0jp0vl.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3u9xgzqcte93ux9p.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/47svy69thrkymuvc.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/4gbiclu2mkawnr5u.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/5fkcxe5h8f2ytj7.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/9gxf4e6vopz3q84.o create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/dep-graph.bin create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/query-cache.bin create mode 100644 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/work-products.bin create mode 100755 testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q.lock create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/141y9ktx8wlxevn4.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/16kthln99blc7qv3.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1zze8extmhj1inh.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3fqxmakmjdr4865v.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3jssatmi8jwq2q03.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4wme8wac7aw04zjw.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/dep-graph.bin create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/query-cache.bin create mode 100644 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/work-products.bin create mode 100755 testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm.lock create mode 100644 testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z create mode 100644 testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.o create mode 100644 testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/dep-graph.bin create mode 100644 testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/query-cache.bin create mode 100644 testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/work-products.bin create mode 100755 testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b.lock create mode 100755 testing/target/debug/integration_test-1a358058a871a1c6 create mode 100644 testing/target/debug/integration_test-1a358058a871a1c6.d create mode 100755 testing/target/debug/testing-e06eb924885e312d create mode 100644 testing/target/debug/testing-e06eb924885e312d.d create mode 100644 testing/tests/integration_test.rs (limited to 'testing') diff --git a/testing/Cargo.lock b/testing/Cargo.lock new file mode 100644 index 0000000..f38ac62 --- /dev/null +++ b/testing/Cargo.lock @@ -0,0 +1,4 @@ +[[package]] +name = "testing" +version = "0.1.0" + diff --git a/testing/Cargo.toml b/testing/Cargo.toml new file mode 100644 index 0000000..665256c --- /dev/null +++ b/testing/Cargo.toml @@ -0,0 +1,7 @@ +[package] +name = "testing" +version = "0.1.0" +authors = ["carpenat"] +edition = "2018" + +[dependencies] diff --git a/testing/src/lib.rs b/testing/src/lib.rs new file mode 100644 index 0000000..9ce4804 --- /dev/null +++ b/testing/src/lib.rs @@ -0,0 +1,32 @@ +pub fn add_two(a: i32) -> i32 { + internal_adder(a, 2) +} + +fn internal_adder(a: i32, b: i32) -> i32 { + a + b +} + +#[cfg(test)] +mod tests { + use super::*; + + #[test] + fn add_two_and_two() { + assert_eq!(4, add_two(2)); + } + + #[test] + fn add_three_and_two() { + assert_eq!(5, add_two(3)); + } + + #[test] + #[ignore] + fn one_hundred() { + assert_eq!(102, add_two(100)); + } + + fn internal() { + assert_eq!(4, internal_adder(2, 2)); + } +} diff --git a/testing/target/.rustc_info.json b/testing/target/.rustc_info.json new file mode 100644 index 0000000..4d57dd8 --- /dev/null +++ b/testing/target/.rustc_info.json @@ -0,0 +1 @@ +{"rustc_fingerprint":5868336760187092304,"outputs":{"1164083562126845933":["rustc 1.32.0 (9fda7c223 2019-01-16)\nbinary: rustc\ncommit-hash: 9fda7c2237db910e41d6a712e9a2139b352e558b\ncommit-date: 2019-01-16\nhost: x86_64-unknown-linux-gnu\nrelease: 1.32.0\nLLVM version: 8.0\n",""],"1617349019360157463":["___\nlib___.rlib\nlib___.so\nlib___.so\nlib___.a\nlib___.so\n/home/carpenat/.rustup/toolchains/stable-x86_64-unknown-linux-gnu\ndebug_assertions\nproc_macro\ntarget_arch=\"x86_64\"\ntarget_endian=\"little\"\ntarget_env=\"gnu\"\ntarget_family=\"unix\"\ntarget_feature=\"fxsr\"\ntarget_feature=\"sse\"\ntarget_feature=\"sse2\"\ntarget_os=\"linux\"\ntarget_pointer_width=\"64\"\nunix\n",""],"15337506775154344876":["___\nlib___.rlib\nlib___.so\nlib___.so\nlib___.a\nlib___.so\n/home/carpenat/.rustup/toolchains/stable-x86_64-unknown-linux-gnu\ndebug_assertions\nproc_macro\ntarget_arch=\"x86_64\"\ntarget_endian=\"little\"\ntarget_env=\"gnu\"\ntarget_family=\"unix\"\ntarget_feature=\"fxsr\"\ntarget_feature=\"sse\"\ntarget_feature=\"sse2\"\ntarget_os=\"linux\"\ntarget_pointer_width=\"64\"\nunix\n",""]},"successes":{}} \ No newline at end of file diff --git a/testing/target/debug/.cargo-lock b/testing/target/debug/.cargo-lock new file mode 100644 index 0000000..e69de29 diff --git a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6 b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6 new file mode 100644 index 0000000..b4f0937 Binary files /dev/null and b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6 differ diff --git a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6 b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6 new file mode 100644 index 0000000..174c9ef --- /dev/null +++ b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6 @@ -0,0 +1 @@ +98ba49ddce7519f3 \ No newline at end of file diff --git a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json new file mode 100644 index 0000000..4a17cde --- /dev/null +++ b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json @@ -0,0 +1 @@ +{"rustc":11779505878581416876,"features":"[]","target":3780201253000999443,"profile":549983324857518330,"path":4024921074540020615,"deps":[["testing v0.1.0 (/home/carpenat/devel/learning-rust/testing)","testing",1889444628513945398]],"local":[{"MtimeBased":[[1550611342,290622300],".fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file diff --git a/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/dep-lib-testing-813a2e3b38183b9f b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/dep-lib-testing-813a2e3b38183b9f new file mode 100644 index 0000000..b8e6181 Binary files /dev/null and b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/dep-lib-testing-813a2e3b38183b9f differ diff --git a/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f new file mode 100644 index 0000000..2589f14 --- /dev/null +++ b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f @@ -0,0 +1 @@ +36f75701e1a7381a \ No newline at end of file diff --git a/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json new file mode 100644 index 0000000..05cee3f --- /dev/null +++ b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json @@ -0,0 +1 @@ +{"rustc":11779505878581416876,"features":"[]","target":916269982466922323,"profile":18323052400864958076,"path":10872709659218687626,"deps":[],"local":[{"MtimeBased":[[1550603915,601749100],".fingerprint/testing-813a2e3b38183b9f/dep-lib-testing-813a2e3b38183b9f"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file diff --git a/testing/target/debug/.fingerprint/testing-e06eb924885e312d/dep-test-lib-testing-e06eb924885e312d b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/dep-test-lib-testing-e06eb924885e312d new file mode 100644 index 0000000..b8e6181 Binary files /dev/null and b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/dep-test-lib-testing-e06eb924885e312d differ diff --git a/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d new file mode 100644 index 0000000..5faca36 --- /dev/null +++ b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d @@ -0,0 +1 @@ +21f7af5eedf171b5 \ No newline at end of file diff --git a/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json new file mode 100644 index 0000000..654a00b --- /dev/null +++ b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json @@ -0,0 +1 @@ +{"rustc":11779505878581416876,"features":"[]","target":916269982466922323,"profile":549983324857518330,"path":10872709659218687626,"deps":[],"local":[{"MtimeBased":[[1550603916,213121900],".fingerprint/testing-e06eb924885e312d/dep-test-lib-testing-e06eb924885e312d"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file diff --git a/testing/target/debug/deps/integration_test-1a358058a871a1c6 b/testing/target/debug/deps/integration_test-1a358058a871a1c6 new file mode 100755 index 0000000..2d84f28 Binary files /dev/null and b/testing/target/debug/deps/integration_test-1a358058a871a1c6 differ diff --git a/testing/target/debug/deps/integration_test-1a358058a871a1c6.d b/testing/target/debug/deps/integration_test-1a358058a871a1c6.d new file mode 100644 index 0000000..dcc0a0e --- /dev/null +++ b/testing/target/debug/deps/integration_test-1a358058a871a1c6.d @@ -0,0 +1,5 @@ +/home/carpenat/devel/learning-rust/testing/target/debug/deps/integration_test-1a358058a871a1c6: tests/integration_test.rs + +/home/carpenat/devel/learning-rust/testing/target/debug/deps/integration_test-1a358058a871a1c6.d: tests/integration_test.rs + +tests/integration_test.rs: diff --git a/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib b/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib new file mode 100644 index 0000000..af1f402 Binary files /dev/null and b/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib differ diff --git a/testing/target/debug/deps/testing-813a2e3b38183b9f.d b/testing/target/debug/deps/testing-813a2e3b38183b9f.d new file mode 100644 index 0000000..bd050dc --- /dev/null +++ b/testing/target/debug/deps/testing-813a2e3b38183b9f.d @@ -0,0 +1,5 @@ +/home/carpenat/devel/learning-rust/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib: src/lib.rs + +/home/carpenat/devel/learning-rust/testing/target/debug/deps/testing-813a2e3b38183b9f.d: src/lib.rs + +src/lib.rs: diff --git a/testing/target/debug/deps/testing-e06eb924885e312d b/testing/target/debug/deps/testing-e06eb924885e312d new file mode 100755 index 0000000..4d1a22f Binary files /dev/null and b/testing/target/debug/deps/testing-e06eb924885e312d differ diff --git a/testing/target/debug/deps/testing-e06eb924885e312d.d b/testing/target/debug/deps/testing-e06eb924885e312d.d new file mode 100644 index 0000000..143be80 --- /dev/null +++ b/testing/target/debug/deps/testing-e06eb924885e312d.d @@ -0,0 +1,5 @@ +/home/carpenat/devel/learning-rust/testing/target/debug/deps/testing-e06eb924885e312d: src/lib.rs + +/home/carpenat/devel/learning-rust/testing/target/debug/deps/testing-e06eb924885e312d.d: src/lib.rs + +src/lib.rs: diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/1t03bhc6pzw2nd6m.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/1t03bhc6pzw2nd6m.o new file mode 100644 index 0000000..ede4797 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/1t03bhc6pzw2nd6m.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2psuteotuu954xoj.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2psuteotuu954xoj.o new file mode 100644 index 0000000..a42a57b Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2psuteotuu954xoj.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2ym1hzdvtimvhwql.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2ym1hzdvtimvhwql.o new file mode 100644 index 0000000..f7e0909 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2ym1hzdvtimvhwql.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/364l98n50kntzl3h.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/364l98n50kntzl3h.o new file mode 100644 index 0000000..5089e11 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/364l98n50kntzl3h.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3qmo95huem0jp0vl.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3qmo95huem0jp0vl.o new file mode 100644 index 0000000..04548b6 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3qmo95huem0jp0vl.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3u9xgzqcte93ux9p.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3u9xgzqcte93ux9p.o new file mode 100644 index 0000000..4920e41 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3u9xgzqcte93ux9p.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/47svy69thrkymuvc.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/47svy69thrkymuvc.o new file mode 100644 index 0000000..fa2b0a3 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/47svy69thrkymuvc.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/4gbiclu2mkawnr5u.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/4gbiclu2mkawnr5u.o new file mode 100644 index 0000000..a4e2c15 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/4gbiclu2mkawnr5u.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/5fkcxe5h8f2ytj7.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/5fkcxe5h8f2ytj7.o new file mode 100644 index 0000000..1c21374 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/5fkcxe5h8f2ytj7.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/9gxf4e6vopz3q84.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/9gxf4e6vopz3q84.o new file mode 100644 index 0000000..8e18549 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/9gxf4e6vopz3q84.o differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/dep-graph.bin b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/dep-graph.bin new file mode 100644 index 0000000..f1189e7 Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/dep-graph.bin differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/query-cache.bin b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/query-cache.bin new file mode 100644 index 0000000..4530aca Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/query-cache.bin differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/work-products.bin b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/work-products.bin new file mode 100644 index 0000000..3882f5c Binary files /dev/null and b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/work-products.bin differ diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q.lock b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q.lock new file mode 100755 index 0000000..e69de29 diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/141y9ktx8wlxevn4.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/141y9ktx8wlxevn4.o new file mode 100644 index 0000000..60e1def Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/141y9ktx8wlxevn4.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/16kthln99blc7qv3.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/16kthln99blc7qv3.o new file mode 100644 index 0000000..d0f206a Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/16kthln99blc7qv3.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o new file mode 100644 index 0000000..0eaa339 Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1zze8extmhj1inh.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1zze8extmhj1inh.o new file mode 100644 index 0000000..c6a6704 Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1zze8extmhj1inh.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o new file mode 100644 index 0000000..50b8e6e Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3fqxmakmjdr4865v.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3fqxmakmjdr4865v.o new file mode 100644 index 0000000..325a17f Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3fqxmakmjdr4865v.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3jssatmi8jwq2q03.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3jssatmi8jwq2q03.o new file mode 100644 index 0000000..979d83f Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3jssatmi8jwq2q03.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o new file mode 100644 index 0000000..15ca0e1 Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o new file mode 100644 index 0000000..e63c90e Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4wme8wac7aw04zjw.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4wme8wac7aw04zjw.o new file mode 100644 index 0000000..770e5a7 Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4wme8wac7aw04zjw.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o new file mode 100644 index 0000000..50a1a9d Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/dep-graph.bin b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/dep-graph.bin new file mode 100644 index 0000000..fc8f40d Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/dep-graph.bin differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/query-cache.bin b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/query-cache.bin new file mode 100644 index 0000000..b08311b Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/query-cache.bin differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/work-products.bin b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/work-products.bin new file mode 100644 index 0000000..91d3b2b Binary files /dev/null and b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/work-products.bin differ diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm.lock b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm.lock new file mode 100755 index 0000000..e69de29 diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z new file mode 100644 index 0000000..40a464d Binary files /dev/null and b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z differ diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.o b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.o new file mode 100644 index 0000000..83dc79e Binary files /dev/null and b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.o differ diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/dep-graph.bin b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/dep-graph.bin new file mode 100644 index 0000000..4f35f8e Binary files /dev/null and b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/dep-graph.bin differ diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/query-cache.bin b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/query-cache.bin new file mode 100644 index 0000000..a1edb07 Binary files /dev/null and b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/query-cache.bin differ diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/work-products.bin b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/work-products.bin new file mode 100644 index 0000000..f0c5ea1 Binary files /dev/null and b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/work-products.bin differ diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b.lock b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b.lock new file mode 100755 index 0000000..e69de29 diff --git a/testing/target/debug/integration_test-1a358058a871a1c6 b/testing/target/debug/integration_test-1a358058a871a1c6 new file mode 100755 index 0000000..2d84f28 Binary files /dev/null and b/testing/target/debug/integration_test-1a358058a871a1c6 differ diff --git a/testing/target/debug/integration_test-1a358058a871a1c6.d b/testing/target/debug/integration_test-1a358058a871a1c6.d new file mode 100644 index 0000000..db7352c --- /dev/null +++ b/testing/target/debug/integration_test-1a358058a871a1c6.d @@ -0,0 +1 @@ +/home/carpenat/devel/learning-rust/testing/target/debug/integration_test-1a358058a871a1c6: /home/carpenat/devel/learning-rust/testing/src/lib.rs /home/carpenat/devel/learning-rust/testing/tests/integration_test.rs diff --git a/testing/target/debug/testing-e06eb924885e312d b/testing/target/debug/testing-e06eb924885e312d new file mode 100755 index 0000000..4d1a22f Binary files /dev/null and b/testing/target/debug/testing-e06eb924885e312d differ diff --git a/testing/target/debug/testing-e06eb924885e312d.d b/testing/target/debug/testing-e06eb924885e312d.d new file mode 100644 index 0000000..8d5a875 --- /dev/null +++ b/testing/target/debug/testing-e06eb924885e312d.d @@ -0,0 +1 @@ +/home/carpenat/devel/learning-rust/testing/target/debug/testing-e06eb924885e312d: /home/carpenat/devel/learning-rust/testing/src/lib.rs diff --git a/testing/tests/integration_test.rs b/testing/tests/integration_test.rs new file mode 100644 index 0000000..e0dbe08 --- /dev/null +++ b/testing/tests/integration_test.rs @@ -0,0 +1,7 @@ +use testing; + +#[test] +fn it_adds_two() { + assert_eq!(4, testing::add_two(2)); +} + -- cgit v1.2.3