summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAdam Carpenter <53hornet@gmail.com>2019-02-19 17:08:00 -0500
committerAdam Carpenter <53hornet@gmail.com>2019-02-19 17:08:00 -0500
commit7d5500f62c1eb316682d6c8de314d2b181c5248a (patch)
treebb83c621c03dfc08cd56384305b4e72fc4bbe47c
parenta48940b60367f94c50b6782d8883110b76a356e8 (diff)
downloadlearning-rust-7d5500f62c1eb316682d6c8de314d2b181c5248a.tar.xz
learning-rust-7d5500f62c1eb316682d6c8de314d2b181c5248a.zip
Added minigrep.
-rwxr-xr-xcollections/.gitignore2
-rwxr-xr-xcontrol/.gitignore2
-rw-r--r--employees/.gitignore2
-rwxr-xr-xenums/.gitignore2
-rw-r--r--errors/.gitignore2
-rw-r--r--fibonacci/.gitignore2
-rw-r--r--ftoctof/.gitignore2
-rwxr-xr-xfunctions/.gitignore2
-rw-r--r--generics/.gitignore2
-rwxr-xr-xguessing-game/.gitignore2
-rwxr-xr-xhello_world/hello_cargo/.gitignore2
-rwxr-xr-xhorcrux/.gitignore2
-rw-r--r--mathsssss/.gitignore2
-rw-r--r--minigrep/Cargo.toml7
-rw-r--r--minigrep/src/main.rs3
-rwxr-xr-xownership/.gitignore2
-rwxr-xr-xpackages/my-project/.gitignore2
-rw-r--r--piglatin/.gitignore2
-rwxr-xr-xstructs/.gitignore2
-rw-r--r--testing/target/.rustc_info.json2
-rw-r--r--testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6bin26 -> 46 bytes
-rw-r--r--testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c62
-rw-r--r--testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json2
-rw-r--r--testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/dep-test-integration-test-common-6f29e5c582a3746bbin0 -> 16 bytes
-rw-r--r--testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/test-integration-test-common-6f29e5c582a3746b1
-rw-r--r--testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/test-integration-test-common-6f29e5c582a3746b.json1
-rw-r--r--testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f2
-rw-r--r--testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json2
-rw-r--r--testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d2
-rw-r--r--testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json2
-rwxr-xr-xtesting/target/debug/common-6f29e5c582a3746bbin0 -> 4325344 bytes
-rw-r--r--testing/target/debug/common-6f29e5c582a3746b.d1
-rwxr-xr-xtesting/target/debug/deps/common-6f29e5c582a3746bbin0 -> 4325344 bytes
-rw-r--r--testing/target/debug/deps/common-6f29e5c582a3746b.d5
-rwxr-xr-xtesting/target/debug/deps/integration_test-1a358058a871a1c6bin4345120 -> 4345688 bytes
-rw-r--r--testing/target/debug/deps/integration_test-1a358058a871a1c6.d5
-rw-r--r--testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlibbin9130 -> 9126 bytes
-rw-r--r--testing/target/debug/deps/testing-813a2e3b38183b9f.d4
-rwxr-xr-xtesting/target/debug/deps/testing-e06eb924885e312dbin4347088 -> 4347136 bytes
-rw-r--r--testing/target/debug/deps/testing-e06eb924885e312d.d4
-rw-r--r--testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/2e95vp1ukmju1ggz.obin0 -> 3504 bytes
-rw-r--r--testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/2horrs3kwkihz6vf.obin0 -> 6200 bytes
-rw-r--r--testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/31a05g3ojsbpqq6p.obin0 -> 4776 bytes
-rw-r--r--testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/3rbgd2y4kykiypkz.obin0 -> 1808 bytes
-rw-r--r--testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/4dhultyt95ejeuo6.obin0 -> 5720 bytes
-rw-r--r--testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/dep-graph.binbin0 -> 224512 bytes
-rw-r--r--testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/query-cache.binbin0 -> 40539 bytes
-rw-r--r--testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/work-products.binbin0 -> 307 bytes
-rwxr-xr-xtesting/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad.lock (renamed from testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q.lock)0
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2ym1hzdvtimvhwql.obin12840 -> 0 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3qmo95huem0jp0vl.obin9240 -> 0 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/47svy69thrkymuvc.obin7592 -> 0 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/5fkcxe5h8f2ytj7.obin3344 -> 0 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/query-cache.binbin116491 -> 0 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/work-products.binbin573 -> 0 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/1t03bhc6pzw2nd6m.o (renamed from testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/1t03bhc6pzw2nd6m.o)bin5744 -> 5736 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/2psuteotuu954xoj.o (renamed from testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2psuteotuu954xoj.o)bin7216 -> 7216 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/2ym1hzdvtimvhwql.obin0 -> 12832 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/364l98n50kntzl3h.o (renamed from testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/364l98n50kntzl3h.o)bin4792 -> 4792 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/3qmo95huem0jp0vl.obin0 -> 9240 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/3u9xgzqcte93ux9p.o (renamed from testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3u9xgzqcte93ux9p.o)bin14520 -> 14520 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/47svy69thrkymuvc.obin0 -> 7696 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/4da5f6fetqzr6440.obin0 -> 2808 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/4gbiclu2mkawnr5u.o (renamed from testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/4gbiclu2mkawnr5u.o)bin7984 -> 7984 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/5fkcxe5h8f2ytj7.obin0 -> 3336 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/9gxf4e6vopz3q84.o (renamed from testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/9gxf4e6vopz3q84.o)bin3528 -> 3528 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/dep-graph.bin (renamed from testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/dep-graph.bin)bin729498 -> 732359 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/query-cache.binbin0 -> 118126 bytes
-rw-r--r--testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/work-products.binbin0 -> 627 bytes
-rwxr-xr-xtesting/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y.lock (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm.lock)0
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/141y9ktx8wlxevn4.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/141y9ktx8wlxevn4.o)bin10392 -> 10392 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/16kthln99blc7qv3.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/16kthln99blc7qv3.o)bin5712 -> 5712 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o)bin9208 -> 9208 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/1zze8extmhj1inh.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1zze8extmhj1inh.o)bin7384 -> 7384 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o)bin4760 -> 4760 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3fqxmakmjdr4865v.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3fqxmakmjdr4865v.o)bin14488 -> 14488 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3jssatmi8jwq2q03.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3jssatmi8jwq2q03.o)bin12808 -> 12808 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o)bin7960 -> 7960 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o)bin5416 -> 5416 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/4wme8wac7aw04zjw.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4wme8wac7aw04zjw.o)bin3496 -> 3496 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o)bin9176 -> 9176 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/dep-graph.bin (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/dep-graph.bin)bin770023 -> 764491 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/query-cache.bin (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/query-cache.bin)bin142259 -> 128759 bytes
-rw-r--r--testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/work-products.bin (renamed from testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/work-products.bin)bin629 -> 629 bytes
-rwxr-xr-xtesting/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk.lock (renamed from testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b.lock)0
-rw-r--r--testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/query-cache.binbin38617 -> 0 bytes
-rw-r--r--testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z (renamed from testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z)bin2578 -> 2578 bytes
-rw-r--r--testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/2okzpkuw96q2j6ie.o (renamed from testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.o)bin4672 -> 4672 bytes
-rw-r--r--testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/dep-graph.bin (renamed from testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/dep-graph.bin)bin64325 -> 63984 bytes
-rw-r--r--testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/query-cache.binbin0 -> 36405 bytes
-rw-r--r--testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/work-products.bin (renamed from testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/work-products.bin)bin114 -> 114 bytes
-rwxr-xr-xtesting/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua.lock0
-rwxr-xr-xtesting/target/debug/integration_test-1a358058a871a1c6bin4345120 -> 4345688 bytes
-rw-r--r--testing/target/debug/integration_test-1a358058a871a1c6.d2
-rwxr-xr-xtesting/target/debug/testing-e06eb924885e312dbin4347088 -> 4347136 bytes
-rw-r--r--testing/target/debug/testing-e06eb924885e312d.d2
-rw-r--r--testing/tests/common/mod.rs3
-rw-r--r--testing/tests/integration_test.rs4
-rw-r--r--traits/.gitignore2
-rwxr-xr-xvariables/.gitignore2
-rw-r--r--xmas/.gitignore2
101 files changed, 40 insertions, 56 deletions
diff --git a/collections/.gitignore b/collections/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/collections/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/control/.gitignore b/control/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/control/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/employees/.gitignore b/employees/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/employees/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/enums/.gitignore b/enums/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/enums/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/errors/.gitignore b/errors/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/errors/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/fibonacci/.gitignore b/fibonacci/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/fibonacci/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/ftoctof/.gitignore b/ftoctof/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/ftoctof/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/functions/.gitignore b/functions/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/functions/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/generics/.gitignore b/generics/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/generics/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/guessing-game/.gitignore b/guessing-game/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/guessing-game/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/hello_world/hello_cargo/.gitignore b/hello_world/hello_cargo/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/hello_world/hello_cargo/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/horcrux/.gitignore b/horcrux/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/horcrux/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/mathsssss/.gitignore b/mathsssss/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/mathsssss/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/minigrep/Cargo.toml b/minigrep/Cargo.toml
new file mode 100644
index 0000000..6a8174c
--- /dev/null
+++ b/minigrep/Cargo.toml
@@ -0,0 +1,7 @@
+[package]
+name = "minigrep"
+version = "0.1.0"
+authors = ["Adam Carpenter <53hornet@gmail.com>"]
+edition = "2018"
+
+[dependencies]
diff --git a/minigrep/src/main.rs b/minigrep/src/main.rs
new file mode 100644
index 0000000..e7a11a9
--- /dev/null
+++ b/minigrep/src/main.rs
@@ -0,0 +1,3 @@
+fn main() {
+ println!("Hello, world!");
+}
diff --git a/ownership/.gitignore b/ownership/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/ownership/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/packages/my-project/.gitignore b/packages/my-project/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/packages/my-project/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/piglatin/.gitignore b/piglatin/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/piglatin/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/structs/.gitignore b/structs/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/structs/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/testing/target/.rustc_info.json b/testing/target/.rustc_info.json
index 4d57dd8..600a2e8 100644
--- a/testing/target/.rustc_info.json
+++ b/testing/target/.rustc_info.json
@@ -1 +1 @@
-{"rustc_fingerprint":5868336760187092304,"outputs":{"1164083562126845933":["rustc 1.32.0 (9fda7c223 2019-01-16)\nbinary: rustc\ncommit-hash: 9fda7c2237db910e41d6a712e9a2139b352e558b\ncommit-date: 2019-01-16\nhost: x86_64-unknown-linux-gnu\nrelease: 1.32.0\nLLVM version: 8.0\n",""],"1617349019360157463":["___\nlib___.rlib\nlib___.so\nlib___.so\nlib___.a\nlib___.so\n/home/carpenat/.rustup/toolchains/stable-x86_64-unknown-linux-gnu\ndebug_assertions\nproc_macro\ntarget_arch=\"x86_64\"\ntarget_endian=\"little\"\ntarget_env=\"gnu\"\ntarget_family=\"unix\"\ntarget_feature=\"fxsr\"\ntarget_feature=\"sse\"\ntarget_feature=\"sse2\"\ntarget_os=\"linux\"\ntarget_pointer_width=\"64\"\nunix\n",""],"15337506775154344876":["___\nlib___.rlib\nlib___.so\nlib___.so\nlib___.a\nlib___.so\n/home/carpenat/.rustup/toolchains/stable-x86_64-unknown-linux-gnu\ndebug_assertions\nproc_macro\ntarget_arch=\"x86_64\"\ntarget_endian=\"little\"\ntarget_env=\"gnu\"\ntarget_family=\"unix\"\ntarget_feature=\"fxsr\"\ntarget_feature=\"sse\"\ntarget_feature=\"sse2\"\ntarget_os=\"linux\"\ntarget_pointer_width=\"64\"\nunix\n",""]},"successes":{}} \ No newline at end of file
+{"rustc_fingerprint":9405769371271905430,"outputs":{"1617349019360157463":["___\nlib___.rlib\nlib___.so\nlib___.so\nlib___.a\nlib___.so\n/home/adam/.rustup/toolchains/stable-x86_64-unknown-linux-gnu\ndebug_assertions\nproc_macro\ntarget_arch=\"x86_64\"\ntarget_endian=\"little\"\ntarget_env=\"gnu\"\ntarget_family=\"unix\"\ntarget_feature=\"fxsr\"\ntarget_feature=\"sse\"\ntarget_feature=\"sse2\"\ntarget_os=\"linux\"\ntarget_pointer_width=\"64\"\nunix\n",""],"1164083562126845933":["rustc 1.32.0 (9fda7c223 2019-01-16)\nbinary: rustc\ncommit-hash: 9fda7c2237db910e41d6a712e9a2139b352e558b\ncommit-date: 2019-01-16\nhost: x86_64-unknown-linux-gnu\nrelease: 1.32.0\nLLVM version: 8.0\n",""],"15337506775154344876":["___\nlib___.rlib\nlib___.so\nlib___.so\nlib___.a\nlib___.so\n/home/adam/.rustup/toolchains/stable-x86_64-unknown-linux-gnu\ndebug_assertions\nproc_macro\ntarget_arch=\"x86_64\"\ntarget_endian=\"little\"\ntarget_env=\"gnu\"\ntarget_family=\"unix\"\ntarget_feature=\"fxsr\"\ntarget_feature=\"sse\"\ntarget_feature=\"sse2\"\ntarget_os=\"linux\"\ntarget_pointer_width=\"64\"\nunix\n",""]},"successes":{}} \ No newline at end of file
diff --git a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6 b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6
index b4f0937..af26160 100644
--- a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6
+++ b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6
Binary files differ
diff --git a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6 b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6
index 174c9ef..4cb1fbf 100644
--- a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6
+++ b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6
@@ -1 +1 @@
-98ba49ddce7519f3 \ No newline at end of file
+4caec4840b18f750 \ No newline at end of file
diff --git a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json
index 4a17cde..45fe102 100644
--- a/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json
+++ b/testing/target/debug/.fingerprint/testing-1a358058a871a1c6/test-integration-test-integration_test-1a358058a871a1c6.json
@@ -1 +1 @@
-{"rustc":11779505878581416876,"features":"[]","target":3780201253000999443,"profile":549983324857518330,"path":4024921074540020615,"deps":[["testing v0.1.0 (/home/carpenat/devel/learning-rust/testing)","testing",1889444628513945398]],"local":[{"MtimeBased":[[1550611342,290622300],".fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file
+{"rustc":11779505878581416876,"features":"[]","target":3780201253000999443,"profile":18223041742459859373,"path":4024921074540020615,"deps":[["testing v0.1.0 (/home/adam/devel/learning-rust/testing)","testing",4177225302602566516]],"local":[{"MtimeBased":[[1550613799,430839384],".fingerprint/testing-1a358058a871a1c6/dep-test-integration-test-integration_test-1a358058a871a1c6"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file
diff --git a/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/dep-test-integration-test-common-6f29e5c582a3746b b/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/dep-test-integration-test-common-6f29e5c582a3746b
new file mode 100644
index 0000000..1a4de97
--- /dev/null
+++ b/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/dep-test-integration-test-common-6f29e5c582a3746b
Binary files differ
diff --git a/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/test-integration-test-common-6f29e5c582a3746b b/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/test-integration-test-common-6f29e5c582a3746b
new file mode 100644
index 0000000..acce564
--- /dev/null
+++ b/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/test-integration-test-common-6f29e5c582a3746b
@@ -0,0 +1 @@
+55e68627d4517020 \ No newline at end of file
diff --git a/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/test-integration-test-common-6f29e5c582a3746b.json b/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/test-integration-test-common-6f29e5c582a3746b.json
new file mode 100644
index 0000000..8059742
--- /dev/null
+++ b/testing/target/debug/.fingerprint/testing-6f29e5c582a3746b/test-integration-test-common-6f29e5c582a3746b.json
@@ -0,0 +1 @@
+{"rustc":11779505878581416876,"features":"[]","target":14558030872309024137,"profile":18223041742459859373,"path":7238438279853508500,"deps":[["testing v0.1.0 (/home/adam/devel/learning-rust/testing)","testing",4177225302602566516]],"local":[{"MtimeBased":[[1550612933,697509238],".fingerprint/testing-6f29e5c582a3746b/dep-test-integration-test-common-6f29e5c582a3746b"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file
diff --git a/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f
index 2589f14..bd542ea 100644
--- a/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f
+++ b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f
@@ -1 +1 @@
-36f75701e1a7381a \ No newline at end of file
+744b66e4477cf839 \ No newline at end of file
diff --git a/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json
index 05cee3f..60cc99b 100644
--- a/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json
+++ b/testing/target/debug/.fingerprint/testing-813a2e3b38183b9f/lib-testing-813a2e3b38183b9f.json
@@ -1 +1 @@
-{"rustc":11779505878581416876,"features":"[]","target":916269982466922323,"profile":18323052400864958076,"path":10872709659218687626,"deps":[],"local":[{"MtimeBased":[[1550603915,601749100],".fingerprint/testing-813a2e3b38183b9f/dep-lib-testing-813a2e3b38183b9f"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file
+{"rustc":11779505878581416876,"features":"[]","target":916269982466922323,"profile":3025206789366041675,"path":10872709659218687626,"deps":[],"local":[{"MtimeBased":[[1550611710,297513131],".fingerprint/testing-813a2e3b38183b9f/dep-lib-testing-813a2e3b38183b9f"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file
diff --git a/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d
index 5faca36..cb9313f 100644
--- a/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d
+++ b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d
@@ -1 +1 @@
-21f7af5eedf171b5 \ No newline at end of file
+418854d8d907c30b \ No newline at end of file
diff --git a/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json
index 654a00b..8afde04 100644
--- a/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json
+++ b/testing/target/debug/.fingerprint/testing-e06eb924885e312d/test-lib-testing-e06eb924885e312d.json
@@ -1 +1 @@
-{"rustc":11779505878581416876,"features":"[]","target":916269982466922323,"profile":549983324857518330,"path":10872709659218687626,"deps":[],"local":[{"MtimeBased":[[1550603916,213121900],".fingerprint/testing-e06eb924885e312d/dep-test-lib-testing-e06eb924885e312d"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file
+{"rustc":11779505878581416876,"features":"[]","target":916269982466922323,"profile":18223041742459859373,"path":10872709659218687626,"deps":[],"local":[{"MtimeBased":[[1550611710,424179798],".fingerprint/testing-e06eb924885e312d/dep-test-lib-testing-e06eb924885e312d"]}],"rustflags":[],"edition":"Edition2018"} \ No newline at end of file
diff --git a/testing/target/debug/common-6f29e5c582a3746b b/testing/target/debug/common-6f29e5c582a3746b
new file mode 100755
index 0000000..198ffed
--- /dev/null
+++ b/testing/target/debug/common-6f29e5c582a3746b
Binary files differ
diff --git a/testing/target/debug/common-6f29e5c582a3746b.d b/testing/target/debug/common-6f29e5c582a3746b.d
new file mode 100644
index 0000000..55f5b6b
--- /dev/null
+++ b/testing/target/debug/common-6f29e5c582a3746b.d
@@ -0,0 +1 @@
+/home/adam/devel/learning-rust/testing/target/debug/common-6f29e5c582a3746b: /home/adam/devel/learning-rust/testing/src/lib.rs /home/adam/devel/learning-rust/testing/tests/common.rs
diff --git a/testing/target/debug/deps/common-6f29e5c582a3746b b/testing/target/debug/deps/common-6f29e5c582a3746b
new file mode 100755
index 0000000..198ffed
--- /dev/null
+++ b/testing/target/debug/deps/common-6f29e5c582a3746b
Binary files differ
diff --git a/testing/target/debug/deps/common-6f29e5c582a3746b.d b/testing/target/debug/deps/common-6f29e5c582a3746b.d
new file mode 100644
index 0000000..c6dce8e
--- /dev/null
+++ b/testing/target/debug/deps/common-6f29e5c582a3746b.d
@@ -0,0 +1,5 @@
+/home/adam/devel/learning-rust/testing/target/debug/deps/common-6f29e5c582a3746b: tests/common.rs
+
+/home/adam/devel/learning-rust/testing/target/debug/deps/common-6f29e5c582a3746b.d: tests/common.rs
+
+tests/common.rs:
diff --git a/testing/target/debug/deps/integration_test-1a358058a871a1c6 b/testing/target/debug/deps/integration_test-1a358058a871a1c6
index 2d84f28..20dfc4c 100755
--- a/testing/target/debug/deps/integration_test-1a358058a871a1c6
+++ b/testing/target/debug/deps/integration_test-1a358058a871a1c6
Binary files differ
diff --git a/testing/target/debug/deps/integration_test-1a358058a871a1c6.d b/testing/target/debug/deps/integration_test-1a358058a871a1c6.d
index dcc0a0e..0dbda3b 100644
--- a/testing/target/debug/deps/integration_test-1a358058a871a1c6.d
+++ b/testing/target/debug/deps/integration_test-1a358058a871a1c6.d
@@ -1,5 +1,6 @@
-/home/carpenat/devel/learning-rust/testing/target/debug/deps/integration_test-1a358058a871a1c6: tests/integration_test.rs
+/home/adam/devel/learning-rust/testing/target/debug/deps/integration_test-1a358058a871a1c6: tests/integration_test.rs tests/common/mod.rs
-/home/carpenat/devel/learning-rust/testing/target/debug/deps/integration_test-1a358058a871a1c6.d: tests/integration_test.rs
+/home/adam/devel/learning-rust/testing/target/debug/deps/integration_test-1a358058a871a1c6.d: tests/integration_test.rs tests/common/mod.rs
tests/integration_test.rs:
+tests/common/mod.rs:
diff --git a/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib b/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib
index af1f402..c4c9edb 100644
--- a/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib
+++ b/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib
Binary files differ
diff --git a/testing/target/debug/deps/testing-813a2e3b38183b9f.d b/testing/target/debug/deps/testing-813a2e3b38183b9f.d
index bd050dc..9b18c75 100644
--- a/testing/target/debug/deps/testing-813a2e3b38183b9f.d
+++ b/testing/target/debug/deps/testing-813a2e3b38183b9f.d
@@ -1,5 +1,5 @@
-/home/carpenat/devel/learning-rust/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib: src/lib.rs
+/home/adam/devel/learning-rust/testing/target/debug/deps/libtesting-813a2e3b38183b9f.rlib: src/lib.rs
-/home/carpenat/devel/learning-rust/testing/target/debug/deps/testing-813a2e3b38183b9f.d: src/lib.rs
+/home/adam/devel/learning-rust/testing/target/debug/deps/testing-813a2e3b38183b9f.d: src/lib.rs
src/lib.rs:
diff --git a/testing/target/debug/deps/testing-e06eb924885e312d b/testing/target/debug/deps/testing-e06eb924885e312d
index 4d1a22f..ca91ebc 100755
--- a/testing/target/debug/deps/testing-e06eb924885e312d
+++ b/testing/target/debug/deps/testing-e06eb924885e312d
Binary files differ
diff --git a/testing/target/debug/deps/testing-e06eb924885e312d.d b/testing/target/debug/deps/testing-e06eb924885e312d.d
index 143be80..869b591 100644
--- a/testing/target/debug/deps/testing-e06eb924885e312d.d
+++ b/testing/target/debug/deps/testing-e06eb924885e312d.d
@@ -1,5 +1,5 @@
-/home/carpenat/devel/learning-rust/testing/target/debug/deps/testing-e06eb924885e312d: src/lib.rs
+/home/adam/devel/learning-rust/testing/target/debug/deps/testing-e06eb924885e312d: src/lib.rs
-/home/carpenat/devel/learning-rust/testing/target/debug/deps/testing-e06eb924885e312d.d: src/lib.rs
+/home/adam/devel/learning-rust/testing/target/debug/deps/testing-e06eb924885e312d.d: src/lib.rs
src/lib.rs:
diff --git a/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/2e95vp1ukmju1ggz.o b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/2e95vp1ukmju1ggz.o
new file mode 100644
index 0000000..301fbd7
--- /dev/null
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/2e95vp1ukmju1ggz.o
Binary files differ
diff --git a/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/2horrs3kwkihz6vf.o b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/2horrs3kwkihz6vf.o
new file mode 100644
index 0000000..27df598
--- /dev/null
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/2horrs3kwkihz6vf.o
Binary files differ
diff --git a/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/31a05g3ojsbpqq6p.o b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/31a05g3ojsbpqq6p.o
new file mode 100644
index 0000000..53234a5
--- /dev/null
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/31a05g3ojsbpqq6p.o
Binary files differ
diff --git a/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/3rbgd2y4kykiypkz.o b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/3rbgd2y4kykiypkz.o
new file mode 100644
index 0000000..4ae506a
--- /dev/null
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/3rbgd2y4kykiypkz.o
Binary files differ
diff --git a/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/4dhultyt95ejeuo6.o b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/4dhultyt95ejeuo6.o
new file mode 100644
index 0000000..f1fd6d3
--- /dev/null
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/4dhultyt95ejeuo6.o
Binary files differ
diff --git a/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/dep-graph.bin b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/dep-graph.bin
new file mode 100644
index 0000000..e3e8421
--- /dev/null
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/dep-graph.bin
Binary files differ
diff --git a/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/query-cache.bin b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/query-cache.bin
new file mode 100644
index 0000000..d4a7d8c
--- /dev/null
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/query-cache.bin
Binary files differ
diff --git a/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/work-products.bin b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/work-products.bin
new file mode 100644
index 0000000..a699fae
--- /dev/null
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad-wsb87b2ga02s/work-products.bin
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q.lock b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad.lock
index e69de29..e69de29 100755
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q.lock
+++ b/testing/target/debug/incremental/common-345648p23iz32/s-f9n9qx0dam-b4boad.lock
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2ym1hzdvtimvhwql.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2ym1hzdvtimvhwql.o
deleted file mode 100644
index f7e0909..0000000
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2ym1hzdvtimvhwql.o
+++ /dev/null
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3qmo95huem0jp0vl.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3qmo95huem0jp0vl.o
deleted file mode 100644
index 04548b6..0000000
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3qmo95huem0jp0vl.o
+++ /dev/null
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/47svy69thrkymuvc.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/47svy69thrkymuvc.o
deleted file mode 100644
index fa2b0a3..0000000
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/47svy69thrkymuvc.o
+++ /dev/null
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/5fkcxe5h8f2ytj7.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/5fkcxe5h8f2ytj7.o
deleted file mode 100644
index 1c21374..0000000
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/5fkcxe5h8f2ytj7.o
+++ /dev/null
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/query-cache.bin b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/query-cache.bin
deleted file mode 100644
index 4530aca..0000000
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/query-cache.bin
+++ /dev/null
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/work-products.bin b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/work-products.bin
deleted file mode 100644
index 3882f5c..0000000
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/work-products.bin
+++ /dev/null
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/1t03bhc6pzw2nd6m.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/1t03bhc6pzw2nd6m.o
index ede4797..48469e9 100644
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/1t03bhc6pzw2nd6m.o
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/1t03bhc6pzw2nd6m.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2psuteotuu954xoj.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/2psuteotuu954xoj.o
index a42a57b..188e2ed 100644
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/2psuteotuu954xoj.o
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/2psuteotuu954xoj.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/2ym1hzdvtimvhwql.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/2ym1hzdvtimvhwql.o
new file mode 100644
index 0000000..5414440
--- /dev/null
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/2ym1hzdvtimvhwql.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/364l98n50kntzl3h.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/364l98n50kntzl3h.o
index 5089e11..4ce7b9b 100644
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/364l98n50kntzl3h.o
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/364l98n50kntzl3h.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/3qmo95huem0jp0vl.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/3qmo95huem0jp0vl.o
new file mode 100644
index 0000000..5817de8
--- /dev/null
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/3qmo95huem0jp0vl.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3u9xgzqcte93ux9p.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/3u9xgzqcte93ux9p.o
index 4920e41..f093979 100644
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/3u9xgzqcte93ux9p.o
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/3u9xgzqcte93ux9p.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/47svy69thrkymuvc.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/47svy69thrkymuvc.o
new file mode 100644
index 0000000..9b8ecfc
--- /dev/null
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/47svy69thrkymuvc.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/4da5f6fetqzr6440.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/4da5f6fetqzr6440.o
new file mode 100644
index 0000000..c1d4503
--- /dev/null
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/4da5f6fetqzr6440.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/4gbiclu2mkawnr5u.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/4gbiclu2mkawnr5u.o
index a4e2c15..0784d47 100644
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/4gbiclu2mkawnr5u.o
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/4gbiclu2mkawnr5u.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/5fkcxe5h8f2ytj7.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/5fkcxe5h8f2ytj7.o
new file mode 100644
index 0000000..11868e3
--- /dev/null
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/5fkcxe5h8f2ytj7.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/9gxf4e6vopz3q84.o b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/9gxf4e6vopz3q84.o
index 8e18549..c5de1c1 100644
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/9gxf4e6vopz3q84.o
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/9gxf4e6vopz3q84.o
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/dep-graph.bin b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/dep-graph.bin
index f1189e7..c51c5ef 100644
--- a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9n90kyodc-1q8ky3q-19bzx4tekp0qp/dep-graph.bin
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/dep-graph.bin
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/query-cache.bin b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/query-cache.bin
new file mode 100644
index 0000000..20c7600
--- /dev/null
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/query-cache.bin
Binary files differ
diff --git a/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/work-products.bin b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/work-products.bin
new file mode 100644
index 0000000..baa8d18
--- /dev/null
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y-u8lcxmz3c229/work-products.bin
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm.lock b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y.lock
index e69de29..e69de29 100755
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm.lock
+++ b/testing/target/debug/incremental/integration_test-1apmhs7pz2ad4/s-f9na58eyej-1uikb2y.lock
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/141y9ktx8wlxevn4.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/141y9ktx8wlxevn4.o
index 60e1def..60e1def 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/141y9ktx8wlxevn4.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/141y9ktx8wlxevn4.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/16kthln99blc7qv3.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/16kthln99blc7qv3.o
index d0f206a..d0f206a 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/16kthln99blc7qv3.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/16kthln99blc7qv3.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o
index 0eaa339..0eaa339 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/1hz7ymz8vueb3o0v.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1zze8extmhj1inh.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/1zze8extmhj1inh.o
index c6a6704..c6a6704 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/1zze8extmhj1inh.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/1zze8extmhj1inh.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o
index 50b8e6e..50b8e6e 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/2h6gt9o6rvpvq7re.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3fqxmakmjdr4865v.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3fqxmakmjdr4865v.o
index 325a17f..325a17f 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3fqxmakmjdr4865v.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3fqxmakmjdr4865v.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3jssatmi8jwq2q03.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3jssatmi8jwq2q03.o
index 979d83f..979d83f 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3jssatmi8jwq2q03.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3jssatmi8jwq2q03.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o
index 15ca0e1..15ca0e1 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/3lo5qhj4pbw8ykv4.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o
index e63c90e..e63c90e 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/4cx6zvlmoqzv3ctm.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4wme8wac7aw04zjw.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/4wme8wac7aw04zjw.o
index 770e5a7..770e5a7 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/4wme8wac7aw04zjw.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/4wme8wac7aw04zjw.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o
index 50a1a9d..50a1a9d 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/5ci3hw7n3cym6x67.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/dep-graph.bin b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/dep-graph.bin
index fc8f40d..2c801b7 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/dep-graph.bin
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/dep-graph.bin
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/query-cache.bin b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/query-cache.bin
index b08311b..6f41b12 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/query-cache.bin
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/query-cache.bin
Binary files differ
diff --git a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/work-products.bin b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/work-products.bin
index 91d3b2b..91d3b2b 100644
--- a/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n5lrve7u-x9t0qm-3v2a4ka3vuel2/work-products.bin
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk-3v2a4ka3vuel2/work-products.bin
Binary files differ
diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b.lock b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk.lock
index e69de29..e69de29 100755
--- a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b.lock
+++ b/testing/target/debug/incremental/testing-1jgbjmmapp86u/s-f9n96oov48-1xus0nk.lock
diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/query-cache.bin b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/query-cache.bin
deleted file mode 100644
index a1edb07..0000000
--- a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/query-cache.bin
+++ /dev/null
Binary files differ
diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z
index 40a464d..40a464d 100644
--- a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z
+++ b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/2okzpkuw96q2j6ie.bc.z
Binary files differ
diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.o b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/2okzpkuw96q2j6ie.o
index 83dc79e..83dc79e 100644
--- a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/2okzpkuw96q2j6ie.o
+++ b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/2okzpkuw96q2j6ie.o
Binary files differ
diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/dep-graph.bin b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/dep-graph.bin
index 4f35f8e..7c82ce5 100644
--- a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/dep-graph.bin
+++ b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/dep-graph.bin
Binary files differ
diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/query-cache.bin b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/query-cache.bin
new file mode 100644
index 0000000..0ccf475
--- /dev/null
+++ b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/query-cache.bin
Binary files differ
diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/work-products.bin b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/work-products.bin
index f0c5ea1..f0c5ea1 100644
--- a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n5lrv8vq-akvm6b-10xvk3tbef9vt/work-products.bin
+++ b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua-10xvk3tbef9vt/work-products.bin
Binary files differ
diff --git a/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua.lock b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua.lock
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/testing/target/debug/incremental/testing-3ijebx5l3ufv3/s-f9n96ooyac-8y1xua.lock
diff --git a/testing/target/debug/integration_test-1a358058a871a1c6 b/testing/target/debug/integration_test-1a358058a871a1c6
index 2d84f28..20dfc4c 100755
--- a/testing/target/debug/integration_test-1a358058a871a1c6
+++ b/testing/target/debug/integration_test-1a358058a871a1c6
Binary files differ
diff --git a/testing/target/debug/integration_test-1a358058a871a1c6.d b/testing/target/debug/integration_test-1a358058a871a1c6.d
index db7352c..710433e 100644
--- a/testing/target/debug/integration_test-1a358058a871a1c6.d
+++ b/testing/target/debug/integration_test-1a358058a871a1c6.d
@@ -1 +1 @@
-/home/carpenat/devel/learning-rust/testing/target/debug/integration_test-1a358058a871a1c6: /home/carpenat/devel/learning-rust/testing/src/lib.rs /home/carpenat/devel/learning-rust/testing/tests/integration_test.rs
+/home/adam/devel/learning-rust/testing/target/debug/integration_test-1a358058a871a1c6: /home/adam/devel/learning-rust/testing/src/lib.rs /home/adam/devel/learning-rust/testing/tests/common/mod.rs /home/adam/devel/learning-rust/testing/tests/integration_test.rs
diff --git a/testing/target/debug/testing-e06eb924885e312d b/testing/target/debug/testing-e06eb924885e312d
index 4d1a22f..ca91ebc 100755
--- a/testing/target/debug/testing-e06eb924885e312d
+++ b/testing/target/debug/testing-e06eb924885e312d
Binary files differ
diff --git a/testing/target/debug/testing-e06eb924885e312d.d b/testing/target/debug/testing-e06eb924885e312d.d
index 8d5a875..45d0750 100644
--- a/testing/target/debug/testing-e06eb924885e312d.d
+++ b/testing/target/debug/testing-e06eb924885e312d.d
@@ -1 +1 @@
-/home/carpenat/devel/learning-rust/testing/target/debug/testing-e06eb924885e312d: /home/carpenat/devel/learning-rust/testing/src/lib.rs
+/home/adam/devel/learning-rust/testing/target/debug/testing-e06eb924885e312d: /home/adam/devel/learning-rust/testing/src/lib.rs
diff --git a/testing/tests/common/mod.rs b/testing/tests/common/mod.rs
new file mode 100644
index 0000000..c00b3a6
--- /dev/null
+++ b/testing/tests/common/mod.rs
@@ -0,0 +1,3 @@
+pub fn setup() {
+ // setup code
+}
diff --git a/testing/tests/integration_test.rs b/testing/tests/integration_test.rs
index e0dbe08..ce04ce3 100644
--- a/testing/tests/integration_test.rs
+++ b/testing/tests/integration_test.rs
@@ -1,7 +1,9 @@
use testing;
+mod common;
#[test]
fn it_adds_two() {
- assert_eq!(4, testing::add_two(2));
+ common::setup();
+ assert_eq!(4, testing::add_two(2));
}
diff --git a/traits/.gitignore b/traits/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/traits/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/variables/.gitignore b/variables/.gitignore
deleted file mode 100755
index 53eaa21..0000000
--- a/variables/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk
diff --git a/xmas/.gitignore b/xmas/.gitignore
deleted file mode 100644
index 53eaa21..0000000
--- a/xmas/.gitignore
+++ /dev/null
@@ -1,2 +0,0 @@
-/target
-**/*.rs.bk